你们好,最近小活发现有诸多的小伙伴们对于quartus ii使用教程视频,quartus ii使用教程这个问题都颇为感兴趣的,今天小活为大家梳理了下,一起往下看看吧。


(资料图)

1、新建项目:从开始菜单启动Quartus II 13.1(64位),从菜单栏选择文件—新建项目向导,创建一个新项目。

2、弹出新建项目对话框,点击下一步,依次设置项目的存储路径、项目名称、顶层文件名,如图。在这里,我们将所有与项目相关的文件放在prj文件夹中,以便于管理项目文件和源文件。

3、同时保持项目名称与顶层实体名称一致,继续下一步。

4、这一步我们不需要添加设计文件,后面会创建相应的代码文件。下一个,继续。选择一个FPGA器件,如图所示。这里,我们选择的器件系列是CycloneIV系列,封装是FBGA,引脚数是256。

5、速度等级为8级。这里的速度等级越小,速度越快。

6、CoreVoltage是核心电压,Cyclone IV的核心电压是1.2V,LE是Altera最基本的逻辑单元,LEs代表FPGA的所有逻辑资源。用户I/o代表用户IO的数量,

7、有180个IO可供用户使用。

8、PLL锁相环,里面有两个锁相环。全球时钟全球时钟网络共有10个。设置EDA工具,这里我们将设置仿真工具,使用默认的Modelsim。

9、语言选择Verilog。其实我们不建议用Modelsim-Altera。我们会推荐你直接用Modelsim-SE,功能更丰富。继续,下一个。汇总新项目的基本信息。

10、项目的立项到此完成。

以上就是quartus ii使用教程这篇文章的一些介绍,希望对大家有所帮助。

推荐内容